基于单片机的频率计设计12

第一篇:基于单片机的频率计设计12

       `武汉理工大学《电子系统设计与调试》课程设计说明书

       摘要

       数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,而且会产生比较大的延时,造成测量误差、可靠性差。传统的数字频率计一般是由分离元件搭接而成,随着单片机的大规模的应用,单片机在频率测量方面也越来越多的被使用。在本课题中使用的AT89S51 这种低功耗,高性能CMOS 8位单片机系列的单片机的出现,具有更好的稳定性,更快和更准确的运算精度,推动了工业生产,影响着人们的工作和学习。而本次设计就是要通过以AT89S51单片机为控制核心,实现对信号频率进行准确计数的设计。

       单片机是将微型计算机的基本功能部件全部集成在一块半导体电路芯片上,具有功能强、体积小、价格低、稳定可靠、研制周期短等优点,具有广阔的应用前景。本次毕业设计以ATMEL公司的AT89S51单片机为核心,实现频率计数功能。频率计装置由单片机系统模块,LED显示模块、MAX232串口通信模块组成,实现对频率进行测试并在LED显示出来。

       本次毕业设计基于AT89S51单片机的频率计装置,设计sch电路原理图,并根据原理图完成硬件部分的制作,采用KEIL-51单片机应用系统编制C语言系统程序,最后通过综合调试,能实现所有要求的功能,完全满足本次毕业设计的要求。

       关键词:频率计;单片机;LED显示;MAX232;定时器/计数器基于AT89S51单片机的频率计的设计装置原理图及其设计思路

       2单片机系统模块原理设计

       2.1 AT89S51芯片介绍 2.2 AT89S51芯片管脚介绍 2.3 AT89S51复位电路原理2.4 AT89S51的时钟

       2.5.1 P0口介绍2.5.2 P1口介绍2.5.3 P2口介绍2.5.4 P3口介绍

       2.5.5端口的负载能力和输入/输出操作 2.5.6串行端口的基本特点

       2.5.7串行端口的工作方式2.5.8串行端口的控制寄存器

       2.6 定时器/计数器2.6.1定时器/计数器结构 2.6.2定时器/计数器控制寄存器

       2.6.3定时器/计数器工作模式 2.6.4定时器/计数器的初始化

       2.7 中断系统 2.7.1中断系统的结构 2.7.2中断系统的控制寄存器2.7.3中断的响应过程3 硬件设计 3.1 LED显示模块设计原理3.1.1 LED发光原理 3.1.2种类和符号

       3.1.3 LED的特性3.2 LED数码管介绍 3.2.1 LED数码管原理结构3.2.2 LED数码管工作方式

       3.2.3静态显示方式 3.2.4动态显示方式 3.2.5 LED显示控制原理 3.3 MAX232芯片介绍4 软件设计

       基于51单片机频率计的设计与制作

       论文摘要: 本毕业论文代写随着无线电技术的发展与普及,“频率”已经成为广大群众所熟悉的物理量。并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。本设计介绍了以8051单片机为核心的频率测量技术, 给出了通过单片机系统的外部中断和定时器/计数器, 并采用测周法和测频法来实现信号频率测量以及通过扩展键盘和显示设备对现场频率进行测量的设计方法。

       关键词:频率 单片机 分频器

       引言

       随着无线电技术的发展与普及,“频率”已经成为广大群众所熟悉的物理量。而单片机的出现,更是对包括测频在内的各种测量技术带来了许多重大的飞跃,然而,小体积、价廉、功能强等优势也在电子领域发挥非常重要的作用,极大的提高了这些领域的技术水平和自动化程度。51系列单片机是国内目前应用最广泛的一种8位单片机之一,随着嵌入式系统、片上系统等概念的提出和普遍接受及应用。51系列及其衍生单片机还会在后继很长一段时间占据嵌入式系统产品的低端市场,因此,作为新世纪的大学生,在信息产业高速发展的今天,掌握单片机的基本结构、原理和使用是非常重要的。为此, 本文给出了一种以单片机为核心的频率测量系统的设计方法。

       数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。概述

       测量频率的方法一般分为无源测频法、有源测频法及电子计数法三种。无源测频法(又可分为谐振法和电桥法), 常用于频率粗测, 精度在1%左右。有源比较法可分为拍频法和差频法,前者是利用两个信号线性叠加以产生拍频现象,再通过检测零拍现象进行测频, 常用于低频测量, 误差在零点几Hz;后者则利用两个非线性信号叠加来产生差频现象, 然后通过检测零差现象进行测频, 常用于高频测量, 误差在± 20 Hz左右。以上方法在测量范围和精度上都有一定的不足, 而电子计数法主要通过单片机进行控制。由于单片机的较强控制与运算功能, 电子计数法的测量频率范围宽, 精度高, 易于实现。2 系统硬件设计

       2.1 系统硬件框图

       频率计的组成框图如图2-1所示,它是以单片机作为核心控制电路,主要有放大电路、整形电路、分频电路、键盘和显示组成,完成频率的测量功能。

       当频率输入后经放大电路放大后进入整形电路进行整形,再由分频器进行分频,再送入单片机中进行处理,最后有数码管显示频率。

       2.2 单片机最小系统

       本设计用STC89C51代替了以往使用的AT89C51,功能更强,速度更快,寿命更长,价格更低。STC89C51可以完成ISP在线编程功能,而AT89C51则不能。

       STC89C51有40个引脚,32个外部双向输入/输出(I/O)端口,同时内含2个外中断口,2个16位可编程定时计数器,2个全双工串行通信口。

       STC89C51是一块高性能单片机,它内含128×8位存储空间,具有工作电压宽(2.5~5.5V)、擦写次数多(大于10000次)、写入速度快(小于10ms)等特点。AT24C02具有PDIP、MSOP/TSSOP及SOIC等三种封装形式,以适应不同产品的需求。

       最小系统是维持单片机,由于晶振、开关等器件无法集成到51芯片内部,由这些器件构成的晶振电路和复位电路是单片机工作的所必须的两个基本电路,对于8051内由RAM、EPROM的系统来讲,单片机与晶振电路及开关、电阻、电容等构成的复位电路组成最小系统。对于8031机型来说,片内不含有程序存储器,所以除以上基本的配置外,必须外扩片外的程序存储器,再用到地址锁存器,才能构成最小系统。所以我们选用8051,这用的最小系统简单可靠。

       8051单片机中,XTALl、XTAL2 为片内振荡器的反相放大器的输入和输出端,如图2-3示。可采用石英晶体或陶瓷振荡器组成时钟振荡器,如需从外部输入时钟驱动 STC89C51,时钟信号从 XTAL1 输入,XTAL2 应悬空。由于输入到内部电路是经过一个 2分频触发器,所以输入的外部时钟信号无需特殊要求,但它必须符合电平的最大和最小值及时序规范。

       复位电路的基本功能是:系统上电时提供复位信号直至系统电源稳定后撤销复位信号,为可靠起见电源稳定后还要经一定的延时才撤销复位信号以防电源开关或电源插头分-合过程中引起的抖动而影响复位,有效的防止系统有时会出现一些不可预料的现象,如无规律可循的“死机”、“程序走飞”等。系统

       2.3 频率测量电路

       本设计就是采用单片机电子计数法来测量频率, 其系统硬件原理框图如下

       为了提高测量的精度, 拓展单片机的测频范围, 本设计采取了对信号进行分频的方法。设计中采用两片同步十进制加法计数器74LS160来组成一个100分频器。该100分频器由两个同步十进制加法计数器74LS160和一个与非门74LS00共同设计而成。由于一个74LS160可以分频十的一次方, 而当第一片74LS160工作时, 如果有进位,输出端TC便有进位信号送进第二片的CEP端, 同时CET也为高电平, 这

       样两个工作状态控制端CET、CEP将同时为高电平, 此时第二片74LS160将开始工作。

       2.4 显示电路

       显示电路我选用共阴极数码管,是由一个四位数码管和二个一位数码管组成。显示主要包含了两部分:段选和位选。在设计时用74ls240来驱动。

       段选的信号和位选的信号由P0和P2口提供,P0口的接74ls240,把单片机输出的十进制转换成数码管能显示的字型码。

       3、数显频率计设计

       以单片机为主要控制器件,配合外围电路,测量10MHZ以内的周期信号频率,并用LE

       D数码管显示测量结果。选择一种精度较高的测量方法,绘出电路的原理图,搭建硬件电路,编制单片机程序,实现上述功能。

第二篇:基于AT89C51单片机的简易频率计的设计

       基于AT89C51单片机的简易频率计的设计 Algorithms of Signal Classification Based on

       Spectrum Analysis

       彭岚峰胡佳佳 Peng Lanfeng Hu Jiajia(南昌大学科学技术学院,江西南昌330029)(College of Science and Technology } Nanchang University, Jiangxi Nanchang,330029)摘要:为了解决市场上各种多功能、高精度数字频率计高价格的问题,本文通过综合分析实际工作的要求,选择市场上低价格的常用元件,由单片机产生闸门时间与时钟等基准信号以减少外围电路,从而提出了一种基于单片机(AT89C51)为主控制核心、LCD 1602为显示界面的频率计设计方案。本方案可满足简易频 率计体积小、成本低、精度高、可测频带宽的市场需求。关键词:单片机;频率计;液显

       中图分类号:TM93文献标识码:A文章编号:1671-4792(2022)09-0121-03

       Abstract: In order to meet the great necessity of multi-function, high-precision digital frequency meter with a lower price, we choose the common cheap components, and use microcontroller to produce the basic clock signalto minimize the peripheral circuit.A design of frequency meter based on microcontroller(AT89C51)andLCD1602 is proposed, which has the properties of small size, low cost, high precision and wide frequency bandwidth.Keywords: AT89C51:Frequency Meter;Liquid Crystal Displ 0引言实现复杂度。

       频率计又称为电子计数器,是一种常用电子测量仪器。它的基本功能是测量信号的频率和周期,广泛应用于教学、科研、高精度仪器测量、工业控制等

       领域。目前,市场上的频率计基本上都是由专用计数芯片与数字逻辑电路组成。由于这些芯片的工作频率低,从而限制了产品工作频率的提高,远不能满足在一些特殊的场合需要。运用51系列单片机设计频率计,并采用适当的算法取代传统电路,不仅能克服传统频率计结构复杂、稳定性差、精度不高的弊端,而且频率计性能也将大幅提高。本次设计给出了一种基于单片机(AT89C51)为主控制芯片的频率计设计方案,不但切实可行,而且体积小、保密性强、设计简单、精度高、可测频带宽,大大降低了设计成本和实现复杂度。

       1总体设计方案

       频率计的结构主要包括时钟信号发生电路、阀门控制、单片机控制电路和LCD显示电路。频率计的主要核心部件是采用AT89C51来产生定时和记录脉冲变化次数,运用AT89C51来构成计数器,突破了大部分运用数字电路模板来构成计数器。本设计主要采用AT89S52芯片和LCD 1602来实现,软件编程主要采用C51语言来编程。图一给出了设计框图。

       图一频率计构造图

       1.1控制核心

       以单片机为核心,待测信号先进入信号放大电路进行放大,再被送到波形整形电路整形,把待测的正弦波或者三角波整形为方波。利用单片机的计数器/定时器的功能对待测信号进行计数。编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示。

       1.2显示部分

       LCD 1602是具有记忆功能的液晶显示器,当频率值不发生变化时无需更新显示区域。此特点可节约单片机的运行时间,减少测量转换时间。

       1.3波形整形电路

       采用LM358比较电路来整形波形,40K电阻和100K的可调电阻对电压分压,因为LM358比较器的开环增益很大,所以输入电压大于分压电压的将变为电源电压5v,而小于电源电压的会变成0v。这样就可以把正弦波、三角波整形成方波。图二给出了整形电路图。

       图二波形整形电路

       2软件设计

       频率计的核心部分是程序的编写,算法的好坏将直接影响频率计的精度。包括了以下的主要程序:主程序、LCD 1602显示程序、显示转换程序、频率计算程序。

       2.1主程序

       主程序只做控制作用,调用了三个子程序定时器1中断初始化、LCD 1602初始化、在LCD上显示Welcome,调用结束后等待中断到来。void main(void)Initial_S1();

       //定时器1中断初始化 LCDSTART();

       //LCD1602初始化 Putlcddata();//在LCD上显示Welcome while(1);} 2.2 LCD 1602显示程序

       void LCDSTARTQ { LCDDATA=0x01;

       //清屏光标复位 DISP();LCDDATA=0x38;

       //设置显示模式:8位子行Sx 7点阵 DISP();LCDDATA=0x0c;

       //显示器开、光标开、光标允许闪烁 DISP();LCDDATA=0x06;

       //文字不动,光标自动右移 DISP();LCDDATA=0x84;

       //设置显示初始位置 DISP();} 2.3计数程序

       本设计中T0采用计数功能,需要注意的一个问题是,输入的待测时钟信号的频率最高可以达到460800Hz,但计数器最多只能计数65536次,显然需要对计数单元进行扩展。扩展的思路是除了计数器TO的THO和TLO用于计数外,再选用一个计数单元,每当计数器TO溢出回零时产生中断,中断程序执行计数扩展单元自增1。本设计需要测量频率最大为10000Hz,可以不用扩展。void timerl} interrupt 3 { TH1=THCLK;TL1=TLCLK;switch(n){

       case2: }if(--switchtime==0)}Frequencyvalue=(THO*256 TLO)/4)* 1000;NumToCharO;

       THO=O;TLO=0;} break;}

       case3: {if(--switchtime==0){Frequencyvalue=(THO*256 TLO)/4)* 100;NumToChar();

       TH0=0;TL0=0;} break;}

       easel:{if(--switchtime==0){Frequencyvalue=(TH0*256 TLO)/4)* 10 60;NumToChar();

       TH0=0;TL0=0;} break;}

       }

       } 2.4显示转换

       从计数器采集到的频率数据是整数,不能直接把这些数据送给LCD显示,因此需要把这些数据转换为标准有效的字符串。下面这段程序将整数转换成字符串。

       void NumToChar()

       {

       frequency [0]=Frequencyvalue/ 10000 48;

       frequency

       [1]=

       (Frequencyvalue% 10000)/1000 48;

       frequency [2]=(Frequencyvalue% 1000)/ 100 48;

       frequency [3]=(Frequencyvalue% 100)/10 48;

       frequency [4]=Frequencyvalue% 10 48;

       frequency[5]='H';

       frequency [6]='z';

       frequency [7]='';

       LCDDATA=Oxc4;

       //设置显示初始位置

       DISPQ;PutlcddataQ;

       } 3结束语

       本设计采用了应用广泛的AT89C51单片机为控制芯片,为频率计的设计提出了一种新的方向。基于AT89C51单片机的简易频率计可满足简易频率计体积小、成本低、精度高、可测频带宽的市场需求。因此,本方案具有一定的应用价值。参考文献

       [1]邱关源.电路}M].北京:高等教育出版社,2022.[2]谢自美.电工线路设计·实验·测试[M].武汉:华中科技大学出版社,2022.[3]王港元.电工电子学实践指导}M].江西:江西科学技术出版社,2022.[4]阎石.数字电子技术基础[M].北京:高等教育出版社,2022.[5]赵全利,肖兴达.单片机原理及应用教程(第二版)[M].机械工业出版社,2022, 7.[6]XuefeiXie.A Novel High Frequency Current-Driven Synchronous Rectifier For Low VoltageHigh Current Applications[J].APEC} 2022:469-475.

第三篇:EDA简单频率计设计

       简单频率计设计

       物理与电子工程学院 电子信息科学与技术专业 2022级 董思林

       指导教师 何传红

       摘 要:随着数字电子技术的发展,频率测量成为一项越来越普遍的工作,因此测频计常受到人们的青睐。EDA技术是以大规模可编程逻辑器件为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件设计的电子系统到硬件系统的设计,最终形成集成电子系统或专用集成芯片的一门新技术,其设计的灵活性使得EDA技术.快速发展和广泛应用。

       关键词:可编程逻辑器件;计数;分频;脉冲;扫描 引言

       在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得非常重要。测量频率的方法有多种,其中电子计数器测量具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内量被测信号的脉冲个数;二是间接测频法,如周期测频法。直接测频法适用于高频信号的频率测量,间接测频法适用于低频号的频率测量。本设计采用直接测频法,以QuartusⅡ软件为设计平台,采用VHDL语言实现数字频率计的整体设计。伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与电子技术,微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。EDA的一个重要特征就是使用硬件描述语言(HDL)来完成的设计文件,在电子

       第 1 页(共 7 页)设计领域受到了广泛的接受。

       EDA技术就是以计算机为工具,设计者在EDA软件平台上,有硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作,最终形成集成电子系统或专业集成芯片的一门新技术。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。设计原理

       2.1 基本原理

       数字频率计的主要功能是测量周期信号的频率。频率是单位时间(1秒)内信号发生周期变化的次数。在给定的1秒时间内对信号波形计数,并将所计数值显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,然后通过计数器计算这一段时间间隔内的方波脉冲个数并显示出来。这就是数字频率计的基本原理。

       2.2 系统框图

       通过测频控制信号发生器将由脉冲发生器产生的信号转换成所需要的控制信号clk1和en,待测信号计数器在en的控制下对待测信号进行测量并通过锁存与译码器在clk1的控制下按要求进行显示,大体结构如图1所示:

       图2.2 系统框图

       第 2 页(共 7 页)整体功能介绍

       3.1 计数器设计

       频率计的核心元件之一是含有时钟使能及进位扩展输出的十进制计数器。为此,这里用一个双十进制计数器器件74390和其他一些辅助元件来完成。电路框图如图3.1所示。

       图3.1 含有时钟使能的2位十进制计数器

       图中,74390连接成两个独立的十进制计数器,待测频率信号clk通过一个与门进入74390的计数器“1”端的时钟输入端1CLKA。与门的另一端由计数使能信号enb控制:当enb=1时允许计数;enb=0时禁止计数。计数器1的4位输出q[3]、q[2]、q[1] 和q[0] 并成总线表达方式,即q[3..0],由图左下角的OUTPUT输出端口向外输出计数值。同时由一个4输入与门和两个反相器构成进位信号,进位信号进入第二个计数器的时钟输入端2CLKA。第二个计数器的4位计数输出是q[7]、q[6]、q[5] 和q[4],总线输出信号是q[7..4].这两个计数器的总得进位信号,可由一个6输入与门和两个反相器产生,由cout输出。clr是计数器的清零信号。对图3.1所示电路进行仿真,其波形图如下图3.1.1所示。

       第 3 页(共 7 页)

       图3.1.1 仿真波形图

       3.2 时序控制电路设计

       欲使电路能自动测频,还需增加一个测频时序控制电路,如图3.2所示。该电路由三部分组成:4位二进制计数器7493、4-16译码器74154和两个由双与非门构成的RS触发器。

       图3.2 测频时序控制电路

       3.3 时钟分频模块设计

       由于设计中利用了一个50MHz的时钟信号输入,需要将其分频为合适的频率供给本设计中的各个模块。其程序代码如下:

       module CLK_DIV(CLK,DIVCLK);input CLK;

       output DIVCLK;

       reg DIVCLK;

       reg [DIV_WIDTH-1:0]counter;

       parameter DIV_Num = 500000;

       parameter DIV_WIDTH = 19;

       //时钟偶数分频模块

       //定义输入时钟 //定义输出时钟 //定义寄存器

       //定义计数寄存器 //参数,定义分频参数

       //参数,定义分频参数占用的位宽

       第 4 页(共 7 页)always @(posedge CLK)

       //分频过程

       begin

       if(counter ==((DIV_Num>>1)-1))//注意分频数的计算公式

       begin

       counter <= 0;

       DIVCLK <= ~DIVCLK;

       end

       else

       counter <= counter 1'b1;end endmodule

       利用此代码生成元件命名为CLK_DIV,供上层文件调用。3.4 数码管动态扫描显示驱动模块设计

       本设计利用8位7段LED数码管,但只需要2位,需采用动态扫描驱动,为显示频率计的结果,需要在计数器和数码管之间放置一个驱动电路模块,由于数码管的现实字符段码过于冗长,这里只作简要说明。代码总共分为三部分:第一部分是相关参数的定义和段码字符的赋值;第二部分有两个case语句,第一个case语句实现对莫一位数码管的定义,第二个case语句实现对数码管某一个段进行定义;第三部分则是对数码管具体要显示哪个字符进行初始化。3.5 顶层电路设计

       将图3.1所示电路包装入库,元件名取为counter8;将图3.2所示电路包装入库,元件名取为ft_ctrl。有了counter8和ft_ctrl,就可以做成自动测频和数据显示的实用频率计了,电路如图3.5所示。图中counter8为第1步生成的2位十进制计数器模块,ft_ctrl为第2步生成的时序控制模块,只含有两个输入信号:待测频率输入信号F_IN和测频控制时钟clk。时钟分频模块CLK_DIV在此设计中被调用(例化)了3次,因为此模块采用了参数可配置的设计模式,所以调用同一个原型,通过改变参数就可以实现不同的特性,其中最上面一个时钟分频模块把50MHz分频到1024Hz(参数DIV_Num为48828,所以输出频率为50MHz/48828≈1024Hz;参数DIV_WIDTH随DIV_Num而变;中间一个分频模块把1024Hz分频到8Hz作为时序控制模块的时钟,则计数使能信号CNT_EN的脉冲宽度即

       第 5 页(共 7 页)为1秒,从而可使数码管直接显示F_IN的频率值了;下面一个分频模块把1024Hz分频到约51Hz作为被测频率F_IN输入到十进制计数器。

       图3.5 顶层电路设计电路 设计总结

       通过这次EDA课程设计,我对课堂上所学到的理论知识的理解加深了许多,自己动脑、动手设计的能力也得到了较大提高。在这次课程设计的过程中,我对 VHDL 语言有了更深的认识。通过查阅相关资料和动手设计我发现我以前对 VHDL 语言的认识太过肤浅,认为 VHDL 语言只能用于设计小型的电路系统。但有了更深刻的认识之后我发现学好 VHDL 语言可以设计出大规模的、功能复杂的电路系统。以前之所以会有错误的认识是因为自己对 VHDL 语言的了解和掌握还不够。现在仔细想想,这次课程设计使得我对 VHDL 语言的理解与应用能力得到了较大的提升,也让我认识到只要深入学习,提升的空间永远是存在的。在设计的过程中我遇到了一些问题,通过查阅书本我发现了产生错误的原因并解决了问题完成了设计。经过反思我发现较大一部分错误是因为操作的不熟练造成的,这也让我明白了要保持设计的高效率必须经常练习。另一方面我第 6 页(共 7 页)也发现了动手实践的重要性。动手实践是理论知识得以灵活运用的必要前提,也是今后走上工作岗位之后能够很好的完成设计工作的技术保证。只有遇到实际问题并根据自己对课堂上获得的专业知识的理解来解决才能真正的提高自己的能力。这也提醒我在平时的学习生活中不能一味埋头于课本知识,当今社会竞争越来越激烈,社会对人才的要求越来越全面,只有理论知识是远远不够的,必须靠实践作支撑。虽然此次设计完成了,但是我意识到,我对FPGA 技术仅仅只是停留在入门的阶段,想要有更大的发展,更深入的研究,还需要更多的努力与实践。因此在学习之余我们应该积极参加各种与专业知识有关的实践活动和竞赛,巩固所学的理论知识,多注重培养实际动手能力和专业技术能力,这样才能在以后的工作岗位上有所作为。

       参考文献: [1] 潘松.黄继业.潘明.EDA技术实用教程-Verilog HDL版(第四版).科学出版社 [2] 杨欣.电子设计从零开始(第2版),清华大学出版社 [3] 康华光.电子技术基础·模拟部分,高等教育出版社 [4] 康华光.电子技术基础·数字部分,高等教育出版社

       [5] 顾斌,赵明忠,姜志鹏,马才根.数字电路EDA设计,西安电子科技大学出版社

       第 7 页(共 7 页)

第四篇:频率计设计实训报告范文

       数字电路实训报告

       题目:数字频率计 专业:电子信息工程 班级: 姓名: 学号:

       摘要

       系统功能介绍:

       数字频率计是一种基本的测量仪器,是用量最大、品种很多的产品,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号以及其他各种单位时间内变化的物理量。

       本次设计选择以集成芯片作为核心器件,利用数字电子技术基础的相关知识,设计了一个简易四位数字频率计,以分频器、触发器和计数器为核心,由信号输入、计数、数据处理和数据显示等功能模块组成。它是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。

       目录

       一.数字频率计的基本原理.................................................................................4 二.设计思路.........................................................................................................4

       2.1秒信号........................................................................................................4 2.2分频器........................................................................................................4 2.3计数器........................................................................................................4 2.4锁存器........................................................................................................4 2.5显示译码器与数码管................................................................................4 三.元件.................................................................................................................5

       3.1 CD4060(分频器芯片)...............................................................................5 3.2 CD4013(D触发器芯片)......................................................................5 3.3 CD4017(脉冲分配器)..........................................................................5 3.4 CD4029(计数器芯片)..........................................................................5 3.5 CD4511(译码芯片)..............................................................................5 3.6数码管........................................................................................................5 3.7 7404(与非门芯片),两个电容(20~30pF),电阻(1M)。................5 四.仿真.................................................................................................................5 五.电路图.............................................................................................................6 六.电路的调试过程.............................................................................................6 七.心得体会.........................................................................................................7 八.参考文献.........................................................................................................8

       数字频率计的设计

       一.数字频率计的基本原理

       二.设计思路

       根据上面的分析,数字频率计的基本电路由以下五个部分组成。

       2.1

       秒信号 2.2

       分频器 2.3

       计数器 2.4

       锁存器 2.5

       显示模块

       三.元件

       3.1

       CD4060BE(分频器芯片)3.2

       SN74HC74N(D触发器芯片)3.3

       CD4017BE(脉冲分配器)3.4

       CD4029BE(计数器芯片)3.5

       CD4511BE(译码芯片)3.6

       LG5011AH(数码管3个)

       3.7

       SN74HC04N(与非门芯片),两个电容(20~30pF),电阻(1M)。

       四.仿真

       五.电路图

       六.电路的调试过程

       电路板焊接完成之后,就需要进行调试过程了,刚开始有的同学焊接完成之后,让老师进行查看,结果不显示,或者显示不成功,老师就给我们讲解了如何进行调试电路,要学会自己查找问题,自己检测电路时哪里的问题。首先就是不亮的问题,就是没有焊接好或者某个地方焊接短路的,这就需要自己一根一根一部分一部分进行检查检测了。接着是数码管全亮了,并没有计数,老师告诉我们需要把三个4511的5脚三个接到4029的1脚上,不再接地了,如果不出什么意外而且其他电路部分没有问题,这步完成后,电路应该就正常工作了。最后我的电路还遇到的问题是,频率计计数了,但是就是不稳定,于是老师经过思考后,让我们分别在三个数码管上串联一个电阻,经过这步后,我的电路基本调试完成,基本没有什么大的问题了。以上这些是我的电路进行调试的过程中遇到的问题,可能还有其他调试过程中遇到的问题,这需要我们耐心调试,不懂的要多问老师同学,这样电路才能调试成功。

       七.心得体会

       本次实训让我体味到设计电路、连接电路、调测电路过程中的苦与甜。设计是我们将来必需的技能,这次实训恰恰给我们提供了一个应用自己所学知识的机会,从查找资料到对电路的设计以及对电路的调试再到最后电路的成型,都对我所学的知识进行了检验。

       首先第一周是“唠嗑”,老师给我们分了组,然我们在组内讨论,各自发挥给子的优势,分别能胜任什么工作。每个人的职责分配完毕后,接着就是“老板”招标,各组竞标,每组根据老师提出的要求进行思考和组织语言,然后说服老师。然后大家就开动大脑,整理思路,组织语言,最后向老师汇报的时候,虽然大家都基本没说到点子上,但是也说明大家还是动脑筋了。第一周的实训看似简单,但是老师在锻炼我们的动脑能力和看问题要看本质以及最后锻炼我们的语言表达能力,收获很多。

       第二周是电路的设计,在电脑上用仿真软件设计电路,毕竟我们学得不是那么透彻,基本上没法把书本上的知识和实力联系起来,大家绞尽脑汁,也不知道如何下手,该从哪里下手,最后在老师的帮助下,我们完成了仿真电路的设计。在这个过程中,老师耐心的给我们讲解每个元件的功能和用途,该如何连接到电路中。这周的实训中充分暴露了我们理论和实际联系的太少太少了,还有动手上机操作的能力不强,以后还有待提高。

       第三周第四周就是硬件的电路焊接设计了。老师给我们发了所有的原件和电路板,我们把之前的仿真电路打印出来,然后就比葫芦画瓢的焊接,但是后来才发现,不认真弄清电路的构造只知道比葫芦画瓢的焊接,在后面的时候很容易焊接错,所以首先得弄清电路构造。在电路板上布线设计硬件的连接方式,这一步还是比较轻松,主要是布的线要尽可能的不交叉。之后就开始对着图焊板子,开始把元器件焊上去还是比较简单好看的。可是紧接着进入焊线阶段就难住我了,线拉不直,焊在板子上就很丑;另一方面,在焊的过程中就会发现有那么几根线是按照布线图来焊是实现不了的,于是,中途又会重新改布线图。硬件部分我花了接近两周的课余时间,反反复复的修改,焊接,终于初步完成了。最后一周是板子焊好后的进硬件调试阶段以及总结大会。硬件调试时,发现了线路的一些错误接法和元器件位置的错接等等,这样又花了很多时间调试修改,硬件就基本上算是完成了。这周感悟也很多,不光要焊接成功电路,还要学会自己查找问题,解决问题,这才是真正地学到了知识。

       通过五周的频率计设计,真的是感触颇多。这五周以来我们每周实训课都积极参与其中,完成老师布置的任务。在课余时间去实验室焊接电路。每天和大家在一起焊板子,一起讨论问题,一起互相帮助的画面还历历在目。这其中有欢声笑语,也有苦有累。还有很多不足的地方,需要自己改进,还有一些缺点也要改掉。总之,这次实训,收获很多。希望自己以后还能更努力。

       八.参考文献

       1.CD4511

       CD4511 是一个用于驱动共阴极 LED(数码管)显示器的 BCD 码—七段码译

       码器,特点:具有 BCD 转换、消隐和锁存控制、七段译码及驱动功能的 CMOS 电路能提供较大的拉电流。可直接驱动 LED 显示器。引脚图如图所示。

       其功能介绍如下:

       BI:4 脚是消隐输入控制端,当 BI=0 时,不管其它输入端状态如何,七 段数码管均处于熄灭(消隐)状态,不显示数字。

       LT:3 脚是测试输入端,当 BI=1,LT=0 时,译码输出全为 1,不管输入

       DCBA 状态如何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。LE:锁定控制端,当 LE=0 时,允许译码输出。LE=1 时译码器是锁定 保持状态,译码器输出被保持在 LE=0 时的数值。A1、A2、A3、A4、为 8421BCD 码输入端。

       a、b、c、d、e、f、g:为译码输出端,输出为高电平1 有效。

       2.CD4029

       CD4029 是一组可预设二进制/BCD、上数/下数计数器,其引脚图如图,功能有:

       (1)4 位数据预设

       (2)4 位二进制计数或BCD 计数(3)上数计数或下数计数

       当其预设控制端PE 为1 时,不论其它输入状态为何,则QDQCQBQA=DCBA,其预设工作与Clock 无关,属异步预设;当PE=0 时,则正常计数。CD4029 的计数选择有4 种,分别由U/D(上数/下数)及B/D(二进制/十进制)两脚来控制。

       3.74LS74

       74LS74

       TTL 带置位复位正触发双 D 触发器

       Vcc 2CR 2D 2Ck 2St 2Q-2Q ┌┴─┴─┴─┴─┴─┴─┴┐ 双 D 触发器 74LS74 │14 13 12 11 10 9 8 │)│

       │ 1 2 3 4 5 6 7│

       └┬─┬─┬─┬─┬─┬─┬┘

       工作原理: SD 和RD 接至基本RS 触发器的输入端,它们分别是预置和清零端,低电平有效。当SD=0且RD=1时,不论输入端D为何种状态,都会使Q=1,Q=0,即触发器置1;当SD=1且RD=0时,触发器的状态为0,SD和RD通常又称为直接置1和置0端。我们设它们均已加入了高电平,不影响电路的工作。工作过程如下:

       1.CP=0时,与非门G3和G4封锁,其输出Q3=Q4=1,触发器的状态不变。同时,由于Q3至Q5和Q4至Q6的反馈信号将这两个门打开,因此可接收输入信号D,Q5=D,Q6=Q5=D。2.当CP由0变1时触发器翻转。这时G3和G4打开,它们的输入Q3和Q4的状态由G5和G6的输出状态决定。Q3=Q5=D,Q4=Q6=D。由基本RS触发器的逻辑功能可知,Q=D。3.触发器翻转后,在CP=1时输入信号被封锁。这是因为G3和G4打开后,它们的输出Q3和Q4的状态是互补的,即必定有一个是0,若Q3为0,则经G3输出至G5输入的反馈线将G5封锁,即封锁了D通往基本RS 触发器的路径;该反馈线起到了使触发器维持在0状态和阻止触发器变为1状态的作用,故该反馈线称为置0维持线,置1阻塞线。Q4为0时,将G3和G6封锁,D端通往基本RS触发器的路径也被封锁。Q4输出端至G6反馈线起到使触发器维持在1状态的作用,称作置1维持线;Q4输出至G3输入的反馈线起到阻止触发器置0的作用,称为置0阻塞线。

       4.74LS04 74LS04 TTL 六反相器 六个与非门 集成到集成块里

       5.CD4017

       CD4017 是5 位Johnson 计数器,具有10 个译码输出端,CP、CR、INH 输入端。时钟输入端的斯密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制。INH 为低电平时,计数器在时钟上升沿计数;反之,计数功能无效。CR 为高电平时,计数器清零。Johnson 计数器,提供了快速操作、2 输入译码选通和无毛刺译码输出。防锁选通,保证了正确的计数顺序。译码输出一般为低电平,只有在对应时钟周期内保持高电平。在每10 个时钟输入周期CO 信号完成一次进位,并用作多级计数链的下级脉动时钟。引脚图如图所示:

       引出端功能符号 CO:进位脉冲输渊 CP:时钟输入端 CR:清除端 INH:禁止端

       Q0-Q9 计数脉冲输出端 VDD:正电源

       VSS:地CD4017组成的防抖动触摸开关电路

       5.CD4060 CD4060由一振荡器和14级二进制串行计数器位组成,振荡器的结构可以是RC或晶振电路,CR为高电平时,计数器清零且振荡器使用无效。所有的计数器位均为主从触发器。在CP1(和CP0)的下降沿计数器以二进制进行计数。在时钟脉冲线上使用斯密特触发器对时钟上升和下降时间无限制。CD4060引脚图:

第五篇:简易数字显示频率计的设计

       简易数字显示频率计的设计

       摘 要:本文应用NE555构成时钟电路,7809构成稳压电源电路,CD4017构成控制电路,CD40110和数码管组成计数锁存译码显示电路,实现可测量1HZ-99HZ这个频段的数字频率计数器。

       关键词:脉冲;频率;计数;控制 1 引 言

       在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量显得很重要。测量频率的方法有很多,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。2 电子计数器测频方法

       电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。3 简易数字频率计电路组成框图

       本设计主要运用数字电路的知识,由NE555构成时钟电路,7809构成稳压电源电路,CD4017构成控制电路,CD40110和数码管组成计数锁存译码显示电路。从单元电路的功能进行划分,该频率计由四大模块组成,分别是电源电路、时钟电路(闸门)、计数译码显示电路、控制电路(被测信号输入电路、锁存及清零)。电路结构如图1所示。

       图1 简易数字频率计电路组成框图 单元模块电路设计 4.1电源电路

       在电子电路中,通常都需要电压稳定的直流电源供电。小功率的稳压电源的组成如图2所示,它由电源变压器、整流电路、滤波电路和稳压电路四部分组成。

       图2 电源电路

       220V市电经220V/12V变压器T降压,二极管桥式整流电路整流,1000uF电容滤波后送人7809的输入端(1脚)。7809的第二脚接地,第三脚输出稳压的直流电压,C7、C8是为了进一步改变输出电压的纹波。红色发光管LED指示电源的工作状态,R9为LED的限流电阻,取值为5.1K。4.2 时钟电路

       电路如图3所示,由NE555构成的多谐振电路,3脚输出振荡脉冲,其中LED为黄色发光二极管,R1为5.1K,R2为1K,R3为10K,C1,C5为100UF,C4为0.01UF,C2为1000PF,RPE选取10K。

       图3 时钟电路

       4.3计数、显示电路

       电路中,CD40110是集十进制加减计数、译码、锁存、驱动于一体的集成电路。CPU为加法输入端,当有脉冲输入时,计数器做加法计数;CPD为减法输入端,当有脉冲输入时,计数器做减法计数。QCO为进位输出端,计数器做加法时,每计满10数后其输出一个脉冲;QBO为借位输出端,计数器做减法时,每计满10数后其输出一个脉冲。该频率计电路使用CPU输入端,在第10个脉冲信号输入时,QCO输出的进位脉冲作为计数脉冲送到高位计数器的CPU输入端。5脚R端为计数器的清零端,当此脚加上高电平信号时,计数器的输出状态为零,并使相应的数码管显示0。4.4 被测信号输入电路

       NE555等构成频率为1Hz的振荡信号,由其3脚输出经非门反相后,作为控制信号加到CD4017的CP输入端,产生时序控制信号,从而实现1s内的脉冲计数(即频率检测)、数值保持及自动清零。从图4中可以看出,当非门输出端输出第一个高电平脉冲时,这个脉冲使得CD4017的Q1输出端由低电平变为高电平;在CD4017的CP输入端输入的第二个脉冲信号到来之前,Q1将一直保持高电平状态。

       在Q1输出高电平时,由CD4011组成的“与”门控制电路打开,从USB与非门的另一端输入的被测脉冲信号就可以通过“与”门控制电路,进入到CD40110的CPu输入端,进行脉冲计数。通过调节电位器调整NE555的振荡频率,使得Q1输出高电平的持续时间为1s,那么在1s内的计数累计的计数脉冲个数,即为被测信号的频率。4.5频率显示电路

       当USA与非门输出第二个脉冲信号时,CD4017的Q1输出端由高电平变为低电平,Q2输出端由低电平变为高电平。Q1输出端的低电平使“与”门控制电路关闭,此时由F2的另一脚输入的被测信号就不能通过,计数器不工作。因此,当第二个脉冲出现时,数显计数器停止计数。在第三个脉冲到来之前,Q2输入端保持高电平,此高电平持续时间(1s)即为数值保持时间,可在1s内读取被测信号的频率显示值。4.6计数及显示清零电路

       当第三个脉冲来到时,Q2端变为低电平,Q3端输出高电平,但是由于Q3端与CD4017清零端Cr相连接,这个高电平信号使CD4017清零,Q1,Q2,Q3端全变为低电平。CD4017的Q3输出端出现的瞬时高电平信号通过二极管加到CD40110的清零端R,使计数器及数显清零,以便下次重新计数。

       图4 频率计整机电路原理图 结论

       从电路的工作原理可以以看出,本电路介绍的频率计的检测周期为3s,每检测一次,计数器累计时间1s,数据保持1s,清零后又保持1s,然后又开始计数、保持、清零的循环。如果感到数值保持时间过短,读数取值不方便时,可将CD4017的Q3输出端与Cr断开,使Q4与Cr清零端相连,这样数据保持时间就变为2s。

       本简易数字显示频率计的设计目的是为了数字电路教学使用,使学生能够灵活使用各类常见集成电路,掌握较复杂电路的设计步骤,在频率测量上难免有很多缺陷。

       参考文献

       【1】王港元.电工电子实践指导.江西科学技术出版社,2022;【2】闫石.数字电子技术基础.高等教育出版社,2022;【2】王雅芳.protel99se电路设计与制版入门与提高.机械工业出版社,2022;The design of the simple frequency meter with digital display Abstracts: In this paper,the digital frequency consists of NE555 clock circuit, the 7809 regulated power supply circuit, the CD4017 control circuit, the CD40110 counting latch decoding circuit and the digital tube display circuit.It can measure the frequency of 1HZ-99HZ.Key words: Pulse, frequency ,counting, control